This image shows Hussam Amrouch

Hussam Amrouch

Prof. Dr.-Ing.

Semiconductor Test and Reliability,
please note new contact data on profile page!
Institute of Computer Architecture and Computer Engineering
Semiconductor Test and Reliability

Contact

+49 711 685 88393
+49 711 685 88288

Pfaffenwaldring 47
D-70569 Stuttgart
Germany
Room: 3.163

Subject

New Contact Address

Phone TUM +49 (89) 289 - 51410
Parkring 35-39/III
85748 Garching b. München
E-Mail:         amrouch(at)tum.de
Homepage: https://www.ce.cit.tum.de/aipro/

Short Bio

Hussam Amrouch is Professor (W3) heading the Chair of AI Processor Design (AI-Pro) within the Technical University of Munich (TUM) and the Munich Institute of Robotics and Machine Intelligence (MIRMI)in Germany. As a side job, he is the head of the Semiconductor Test and Reliability (STAR) within the University of Stuttgart, Germany. Prior to that, he was a Research Group Leader at the Karlsruhe Institute of Technology (KIT) where he was leading the research efforts in building dependable embedded systems. He currently serves as Editor at the Nature Scientific Reports Journal. 

Prof. Amrouch received his Ph.D. degree (Dr.-Ing.) with the highest distinction (summa cum laude) from the Karlsruhe Institute of Technology (KIT) in 2015. In 2015, he founded and led the Dependable Hardware research group at KIT and in July 2020, he was appointed as a Junior Professor at the University of Stuttgart, where he headed the Chair of Semiconductor Test and Reliability (STAR). In 2023, he was appointed to the professorship (W3) for AI Processor Design at the Technical University of Munich (TUM). He is also part of the Munich Institute of Robotics and Machine Intelligence (MIRMI) and Munich Quantum Valley (MQV).

His main research interests are design for reliability and testing from device physics to systems, machine learning for CAD, HW security, approximate computing, and emerging technologies with a special focus on ferroelectric devices. He holds eight HiPEAC Paper Awards and three best paper nominations at top EDA conferences: DAC'16, DAC'17 and DATE'17 for his work on reliability. He has served in the technical program committees of many major EDA conferences such as DAC, ASP-DAC, ICCAD, etc., and as a reviewer in many top journals like Nature Electronics, TED, TCAS-I, TVLSI, TCAD, TC, etc. He has more than 200 publications in multidisciplinary research areas (including 85 journals) across the entire computing stack, starting from semiconductor physics to circuit design all the way up to computer-aided design and computer architecture. His research in HW security and reliability have been funded by the German Research Foundation (DFG), Advantest Corporation, and the U.S. Office of Naval Research (ONR). 

  1. (Journal-) Articles

    1. In-Memory Acceleration of Hyperdimensional Genome Matching on Unreliable Emerging Technologies. Hamza E. Barkam; Sanggeon Yun; Paul R. Genssler; Che-Kai Liu; Zhuowen Zou; Hussam Amrouch and Mohsen Imani. (2024). DOI: https://doi.org/10.1109/TCSI.2024.3351966
    2. WaSSaBi: Wafer Selection with Self-supervised Representations and Brain-inspired Active Learning. Karthik Pandaram; Paul R. Genssler and Hussam Amrouch. (2024). DOI: https://doi.org/10.1109/TCSI.2024.3357975
    3. Approximation-Aware and Quantization-Aware Training for  Graph Neural Networks. Rodion Novkin; Florian Klemme and Hussam Amrouch. IEEE Transactions on Computers (November 2023).
    4. Golden-Free Robust Age Estimation to Triage Recycled ICs. V. Surabhi; P. Krishnamurthy; H. Amrouch; J. Henkel; R. Karri and F. Khorrami. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’23) (2023).
    5. FDSOI-based Analog Computing for Ultra-efficient Hamming Distance Similarity Calculation. Albi Mema; Simon Thomann; Paul R. Genssler and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2023). DOI: https://doi.org/10.1109/TCSI.2023.3267837
    6. Cryogenic Embedded System to Support Quantum Computing: From 5nm FinFET to Full Processor. Paul R. Genssler; Florian Klemme; Shivendra Singh Parihar; Sebastian Brandhofer; Girish Pahwa; Ilia Polian; Yogesh Singh Chauhan and Hussam Amrouch. IEEE Transactions on Quantum Engineering (2023). DOI: https://doi.org/10.1109/TQE.2023.3300833
    7. Modeling and Predicting Transistor Aging under Workload Dependency using Machine Learning. Paul R. Genssler; Hamza E. Barkam; Karthik Pandaram; Mohsen Imani and Hussam Amrouch. (2023). DOI: https://doi.org/10.1109/TCSI.2023.3289325
    8. Compact CMOS-Compatible Majority Gate using Body Biasing in FDSOI Technology. Brunno Alves Abreu; Albi Mema; Simon Thomann; Guilherme Paim; Paulo Flores; Sergio Bampi and Hussam Amrouch. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) (2023).
    9. HW/SW Co-design for Approximation-Aware Binary Neural Networks. Abhilasha Dave; Fabio Frustaci; Fanny Spagnolo; Mikail Yayla; Jian-Jia Chen and Hussam Amrouch. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), under major revision (2023).
    10. HW/SW Co-design for Reliable In-Memory Brain-Inspired Hyperdimensional Computing. Simon Thomann; Paul R. Genssler and Hussam Amrouch. IEEE Transactions on Computers (TC) (2023).
    11. Trojan Detection in Embedded Systems with FinFET Technology. V. Surabhi; P. Krishnamurthy; H. Amrouch; J. Henkel; R. Karri and F. Khorrami. Transactions on Computers (TC’22) (2022).
    12. Trojan Detection in Embedded Systems with FinFET Technology. V. Surabhi; P. Krishnamurthy; H. Amrouch; R. Henkel, J. Karri and F. Khorrami. Transactions on Computers (TC’22) (2022).
    13. Leveraging Ferroelectric Stochasticity and In-Memory Computing for DNN IP Obfuscation. Likhitha Mankali; Nikhil Rangarajan; Swetaki Chatterjee; Shubham Kumar; Yogesh S. Chauhan; Ozgur Sinanoglu and Hussam Amrouch. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC) (2022).
    14. Reliable Binarized Neural Networks on Unreliable Beyond von-Neumann Architecture. Mikail Yayla; Sebastian Buschjäger; Katharina Morik; Jian-Jia Chen and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers  (TCAS-I) (2022).
    15. A Novel Attack Mode on Advanced Technology Nodes Exploiting Transistor Self-Heating. Nikhil Rangarajan; Nimisha Knechtel, Limaye; Johann; Ozgur Sinanoglu and Hussam Amrouch. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’22), ESWEEK Special Issue (2022).
    16. CARAT: A Framework for Analysis of BTI-HCD Aging in Digitial and AMS Circuits. Narendra Gangwar; Chaitanya Pasupuleti; M van Santen Victor; Uma Sharma; Hussam Amrouch and Souvik Mahapatra. Solid-State Electronics (SSE’22) (2022).
    17. Brain-Inspired Hyperdimensional Computing: How Thermal-Friendly for Edge Computing? Paul Genssler; Austin Vas and Hussam Amrouch. IEEE Embedded Systems Letters (ESL’22) (2022).
    18. Targeting DNN Inference via Efficient Utilization of Heterogeneous Precision DNN Accelerators. Ourania Spantidi; Georgios Zervakis; Sami Salamin; Isai Roman Ballesteros; Joerg Henkel; Hussam Amrouch and Iraklis Anagnostopoulos. IEEE Transactions on Emerging Topics in Computing (TETC) (2022).
    19. Ferroelectric FDSOI FET Modeling for Memory and Logic Applications. Swetaki Chatterjee; Shubham Kumar; Chetankumar Dabhi; Yogesh S. Chauhan and Hussam Amrouch. Solid-State Electronics (SSE’22) (2022).
    20. Thermal-Aware DNN Accelerator Design. Georgios Zervakis; Iraklis Anagnostopoulos; Sami Salamin; Ourania Spantidi; Isai Roman Ballesteros; Joerg Henkel and Hussam Amrouch. Transactions on Computers (TC’22) (2022).
    21. Brain-Inspired Computing for Circuit Reliability Characterization. Paul R. Genssler and Hussam Amrouch. Transactions on Computers (TC) (2022). DOI: https://doi.org/10.1109/TC.2022.3151857
    22. Comprehensive Variability Analysis in Dual-Port FeFET for Reliable Multi-Level-Cell Storage. Swetaki Chatterjee; Simon Thomann; Chetan; Yogesh S Chauhan and Hussam Amrouch. IEEE Transactions on Electron Devices (TED’22) (2022).
    23. Efficient Learning Strategies for Machine Learning-based Cell Library Characterization. Florian Klemme and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2022).
    24. Printed Temperature Sensor Array for High-Resolution Thermal Mapping. Tim Buecher; Robert Huber; Carsten Eschenbaum; Adrian Mertens; Uli Lemmer and Hussam Amrouch. Nature Scientific Reports (2022).
    25. Design-Time Exploration of Voltage Switching Against Power Analysis Attacks in 14nm FinFET Technology. J. Knechtel; Tarek Asha; Natasha Fernengel; S. Patnaik; M. Nabeel; M. Ashraf; O. Sinanoglu and H. Amrouch. Integration VLSI Journal (IVLSI) (2022).
    26. Scalable Machine Learning to Estimate the Impact of Aging on Circuits under Workload Dependency. Florian Klemme and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2022).
    27. Efficient Learning Strategies for Machine Learning-Based Characterization of Aging-Aware Cell Libraries. Florian Klemme and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2022). DOI: https://doi.org/10.1109/TCSI.2022.3201431
    28. Characterizing Approximate Arithmetic Adders and Multipliers for Mitigating Aging and Temperature Degradations. Francisco J. H. Santiago; Honglan Jiang; Hussam Amrouch; Andreas Gerstlauer; Leibo Liu and Jie Han. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2022).
    29. GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation. Lilas Alrahis; Johann Knechtel; Florian Klemme; Hussam Amrouch and Ozgur Sinanoglu. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’22), ESWEEK Special Issue (2022).
    30. Machine Learning-Based Microarchitecture-Level Power Modeling of CPUs. Ajay Krishna; Sami Salamin; Hussam Amrouch and Andreas Gerstlauer. IEEE Transactions on Computers (TC) (2022).
    31. Modeling TPU Thermal Maps under Superlattice Thermoelectric Cooling. Tim Buecher and Hussam Amrouch. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access) (2022).
    32. All-in-Memory Brain-Inspired Computing using FeFET Synapses. Simon Thomann; Nguyen Hong Lam Giang; Paul R. Genssler and Hussam Amrouch. Frontiers in Electronics (2022). DOI: https://doi.org/10.3389/felec.2022.833260
    33. Variability-Aware Approximate Circuit Synthesis via Genetic Optimization. Konstantinos Balaskas; Florian Klemme; Georgios Zervakis; Kostas Siozios; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2022).
    34. On-demand Mobile CPU Cooling with Thin-Film Thermoelectric  Array. Hammam Kattan; Sung Woo Chung; Joerg Henkel and Hussam Amrouch. IEEE Micro Magazine (MICRO’21) (2021).
    35. Automated Design Approximation to Suppress Circuit Aging. Konstantinos Balaskas; Georgios Zervakis; Hussam Amrouch; Jörg Henkel and Kostas Siozios. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2021).
    36. On the Critical Role of Ferroelectric Thickness for Negative  Capacitance Transistor Optimization. Om Prakash; Aniket Gupta; Girish Pahwa; Yogesh Chauhan and Hussam Amrouch. IEEE Journal of the Electron Devices Society (J-EDS) (2021).
    37. MLCAD: A Survey of Research in Machine Learning for CAD. Martin Rapp; Hussam Amrouch; Yibo Lin; Bei Yu; David Pan; M. Wolf and J. Henkel. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (2021).
    38. Impact of Self-Heating on Negative-Capacitance FinFET:  Device-Circuit Interaction. Om Prakash; Girish Pahwa; Chetan Dabhi; Yogesh S Chauhan and Hussam Amrouch. IEEE Transactions on Electron Devices (TED’21) (2021).
    39. Energy Efficient Edge Computing Enabled by Satisfaction Games and Approximate Computing. Nafis Irtija; Iraklis Anagnostopoulos; Georgios Zervakis; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Green Communications and Networking (TGCN) (2021).
    40. Machine Learning for On-the-fly Reliability-Aware Cell Library Characterization. Florian Klemme and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2021).
    41. Bridging the Gap Between Voltage Over-Scaling and Joint  Hardware Accelerator-Algorithm Closed-Loop. Guilherme Paim; Hussam Amrouch; Eduardo A. C. da Costa; Sergio Bampi and Jörg Henkel. IEEE Transactions on Circuits and Systems for Video Technology  (TCSVT’21) (2021).
    42. PROTON: Post-synthesis ferROelectric Thickness OptimizatioN for NCFET Circuits. Sami Salamin; Georgios Zervakis; Joerg Henkel and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) (2021).
    43. On the Reliability of FeFET On-Chip Memory. Paul R. Genssler; Victor M. van Santen; Joerg Henkel and Hussam Amrouch. IEEE Transactions on Computers (TC’21) (2021).
    44. FeFET-based Binarized Neural Networks Under Temperature-dependent Bit Errors. Mikail Yayla; Sebastian Buschjäger; Aniket Gupta; Jian-Jia Chen; Joerg Henkel; Katharina Morik; Kuan-Hsun Chen and Hussam Amrouch. IEEE Transactions on Computers (TC) (2021).
    45. Impact of NCFET Technology on Eliminating the Cooling Cost and Boosting the Efficiency of Google TPU. Sami Salamin; Georgios Zervakis; Florian Klemme; Hammam Kattan; Yogesh Chauhan; Jörg Henkel and Hussam Amrouch. IEEE Transactions on Computers (TC’21) (2021).
    46. Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning Perspective. Sheriff Sadiqbatcha; Jinwei Zhao; Hussam Amrouch and Sheldon Tan. IEEE Transactions on Computers (TC) (2021).
    47. Adv-CACTI: Advanced CACTI for FinFET and NC-FinFET Technologies. Divya Praneetha; Rajesh Kedia; Victor van Santen; Preeti Panda and Hussam Amrouch. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) (2021).
    48. Containing Self-Heating Effects in Advanced Technologies. S. Salamin; V. van Santen; M. Rapp; J. Henkel and H. Amrouch. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access’21) (2021).
    49. Longevity of Commodity DRAMs in Harsh Environments through Thermoelectric Cooling. Deepak M. Mathew; Hammam Kattan; Christian Weis; Jörg Henkel; Norbert Wehn and Hussam Amrouch. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access) (2021).
    50. On the Resiliency of NCFET Circuits against Voltage  Over-Scaling. Guilherme Paim; Georgios Zervakis; Eduardo A. C. da Costa; Sergio Bampi; Jörg Henkel and Hussam Amrouch. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I’21) (2021).
    51. Impact of NCFET on Neural Network Accelerators. Georgios Zervakis; Iraklis Anagnostopoulos; Yogesh Chauhan; Jörg Henkel and Hussam Amrouch. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access’21) (2021).
    52. Characterizing the Thermal Feasibility of Monolithic 3D Microprocessors. Ji Heon Lee; Jeong Hwan Choi; Young Seo Lee; Hussam Amrouch; Joonho Kong; Young-Ho Gong and Sung Woo Chung. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access) (2021).
    53. Software Based Read and Write Wear-Leveling for Non-Volatile Main Memory. Christian Hakert; Kuan-Hsun Chen; Horst Schirmeier; Lars Bauer; Paul Genßler; Georg von der Brüggen; Hussam Amrouch; Jörg Henkel and Jian-Jia Chen. ACM Transactions on Embedded Computing Systems (TECS) (2021).
    54. Full-Chip  Power Density and Thermal Map Characterization for Commercial Microprocessors under Heat Sink Cooling. Jinwei Zhang; Sadiqbatcha Sheriff; Michael O’Dea; Hussam Amrouch and Sheldon Tan. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (2021).
    55. Electrothermal Simulation and Optimization of Thermoelectric Cooler using an Analytical Approach. Liang Chen; Hussam Amrouch and Sheldon Tan. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (2021).
    56. Performance Optimization of Analog Circuits in Negative Capacitance Transistor Technology. Om Prakash; Nitanshu Chauhan; Anekit Gupta and Hussam Amrouch. Elsevier Microelectronics Journal (Microelectronics’21) (2021).
    57. Towards a New Thermal Monitoring Based Framework for Embedded CPS Device Security. N. Patel; P. Krishnamurthy; H. Amrouch; J. Henkel; M. Shamouilian; R. Karri and Khorrami. R. IEEE Transactions on Dependable and Secure Computing  (TDSC’20) (2020).
    58. Power-Efficient Heterogeneous Many-Core Design with NCFET Technology. S. Salamin; M. Rapp; A. Pathania; A. Maity; J. Henkel; T. Mitra and H. Amrouch. IEEE Transactions on Computers (TC’20) (2020).
    59. Impact of Interface Traps on Negative Capacitance Transistor:  Device and Circuit Reliability. Om Prakash; Anekit Gupta; Girish Pahwa; Joerg Henkel; Yogesh S. Chauhan and Hussam Amrouch. IEEE Journal of the Electron Devices Society (JEDS’20) (2020).
    60. Hardware Trojan Detection Using Controlled Circuit Aging. V. Surabhi; P. Krishnamurthy; H. Amrouch; K. Basu; R. Henkel, J. Karri and F. Khorrami. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access) (2020).
    61. Massively Parallel Circuit Setup in GPU-SPICE. V. van Santen; F. Florian Diep; J. Henkel and H. Amrouch. IEEE Transactions on Computers (TC’20) (2020).
    62. Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology. H. Amrouch; G. Pahwa; A. Gaidhane; F. Klemme; O. Prakash; C. Dabhi and Y. Chauhan. IEEE Transactions on Circuits and Systems I: Regular Paper  (TCAS-I’20), 2020 (2020).
    63. A Framework for Crossing Temperature-Induced Timing Errors  Underlying Hardware Accelerators to the Algorithm and Application Layers. G. Paim; H. Amrouch; L. Rocha; B. Abreu; E. da Costa; S. Bampi and J. Henkel. IEEE Transactions on Computers (TC’20) (2020).
    64. Weight-Oriented Approximation for Energy-Efficient Neural  Network Inference Accelerators. Zois-Gerasimos Tasoulas; Georgios Zervakis; Iraklis Anagnostopoulos; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Circuits and Systems I: Regular Papers  (TCAS-I) (2020).
    65. Exposing Hardware Trojans in Embedded Platforms via Short-Term Aging. V. Surabhi; P. Krishnamurthy; H. Amrouch; R. Henkel, J. Karri and F. Khorrami. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20), ESWEEK Special Issue (2020).
    66. Dynamic Power and Energy Management for NCFET-based Processors. Sami Salamin; Martin Rapp; Andreas Gerstlauer; Joerg Henkel and Hussam Amrouch. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20), ESWEEK Special Issue (2020).
    67. Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET). J. Knechtel; S. Patnaik; M. Nabeel; M. Ashraf; Y. Chauhan; J. Henkel; O. Sinanoglu and H. Amrouch. IEEE Micro Magazine (MICRO’20) (2020).
    68. Design Automation of Approximate Circuits With Runtime Reconfigurable Accuracy. G. Zervakis; H. Amrouch and J. Henkel. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access) (2020).
    69. NPU Thermal Management. Hussam Amrouch; Georgios Zervakis; Kattan; Hammam; Iraklis Anagnostopoulos and Joerg Henkel. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20), ESWEEK Special Issue (2020).
    70. Aging Compensation with Dynamic Computation Approximation. H. Kim; J. Kim; H. Amrouch; Jörg Henkel; A. Gerstlauer; K. Choi and P. Hanmin. IEEE Transactions on Circuits and Systems I: Regular Paper  (TCAS-I’20) (2020).
    71. Post-Silicon Hot-Spot Identification and Machine-LearningBased Thermal Modeling Using Infrared Thermal Imaging. S. M Sheriff; Z. Jinwei; Z. Hengyang; Amrouch; H.; Jörg Henkel and S. Tan. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20) (2020).
    72. Unveiling the Impact of IR-drop on Performance Gain in NCFET-based Processors. Hussam Amrouch; Sami Salamin; Girish Pahwa; Amol D Gaidhane; Jörg Henkel and Yogesh S Chauhan. IEEE Transactions on Electron Devices (T-ED’19) (2019).
    73. Device to Circuit Framework for Activity-Dependent NBTI Aging in Digital Circuits. A Thirunavukkarasu; Hussam Amrouch; Jerin Joe; Nilesh Goel; Narendra Parihar; Subrat Mishra; Chetan K Dabhi; Yogesh S Chauhan; Jörg Henkel and Souvik Mahapatra. IEEE Transactions on Electron Devices (T-ED’19) 66, 1 (2019), pp. 316--323.
    74. On the Workload Dependence of Self-Heating in FinFET Circuits. Victor M van Santen; Hussam Amrouch; Pooja Sharma and Jörg Henkel. IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II’19) (2019).
    75. On the Efficiency of Voltage Overscaling under Temperature and Aging Effects. Hussam Amrouch; Borna Ehsani; Andreas Gerstlauer and Jörg Henkel. IEEE Transactions on Computers (TC’19) (2019).
    76. New Worst-Case Timing for Standard Cells Under Aging Effects. Victor M van Santen; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Device and Materials Reliability (T-DMR’19) 19, 1 (2019), pp. 149--158.
    77. Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level. Victor M van Santen; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I’19) (2019).
    78. Modeling the Interdependences Between Voltage Fluctuation and BTI Aging. Sami Salamin; Victor M van Santen; Hussam Amrouch; Narendra Parihar; Souvik Mahapatra and Jörg Henkel. IEEE Transactions on Very Large Scale Integration Systems (TVLSI’19) (2019).
    79. Estimating and Mitigating Aging Effects in Routing Network of FPGAs. Behnam Khaleghi; Behzad Omidi; Hussam Amrouch; Jörg Henkel and Hossein Asadi. IEEE Transactions on Very Large Scale Integration Systems (TVLSI’19) 27, 3 (2019), pp. 651--664.
    80. A Cross-layer Gate-Level-to-Application Co-simulation for Design Space Exploration of Approximate Circuits in HEVC Video Encoders. Guilherme Paim; Leandro M. G. Rocha; Hussam Amrouch; A. C. da Costa Eduardo; Sergio Bampi and Jörg Henkel. IEEE Transactions on Circuits and Systems for Video Technology  (TCSVT’19) (2019).
    81. Dynamic guardband selection: Thermal-aware optimization for unreliable multi-core systems. Heba Khdr; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Computers (TC’19) 68, 1 (2019), pp. 53--66.
    82. A simulation study of NBTI impact on 14-nm node FinFET technology for logic applications: Device degradation to circuit-level interaction. Subrat Mishra; Hussam Amrouch; Jerin Joe; Chetan K Dabhi; Karansingh Thakor; Yogesh S Chauhan; Jörg Henkel and Souvik Mahapatra. IEEE Transactions on Electron Devices (T-ED’19) 66, 1 (2019), pp. 271--278.
    83. Recent Advances in EM and BTI Induced Reliability Modeling, Analysis and Optimization. Sheldon X.-D. Tan; Hussam Amrouch; Taeyoung Kim; Zeyu Sun; Chase Cook and Jrg Henkel. Integration VLSI Journal (IVLSI’18) 60, C (January 2018), pp. 132--152.
    84. Aging-Aware Boosting. H. Khdr; H. Amrouch and J. Henkel. IEEE Transactions on Computers (TC’18) 67, 9 (2018), pp. 1217–1230.
    85. Modeling and Evaluating the Gate Length Dependence of BTI. Victor M van Santen; Hussam Amrouch and Jörg Henkel. IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II’18) (2018).
    86. Reliability in Super- and Near-Threshold Computing: A Unified Model of RTN, BTI, and PV. V. M. van Santen; J. Martin-Martinez; H. Amrouch; M. M. Nafria and J. Henkel. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I’18) 65, 1 (2018), pp. 293–306.
    87. Negative capacitance transistor to address the fundamental limitations in technology scaling: Processor performance. Hussam Amrouch; Girish Pahwa; Amol D Gaidhane; Jörg Henkel and Yogesh Singh Chauhan. The Multidisciplinary Open Access Journal IEEE Access (IEEE Access’18) 6, (2018), pp. 52754--52765.
    88. Interdependencies of Degradation Effects and Their Impact on Computing. H. Amrouch; V. M. van Santen and J. Henkel. IEEE Design and Test Magazine (DNT’17) 34, 3 (2017), pp. 59–67.
    89. RESI: Register-Embedded Self-Immunity for Reliability Enhancement. H. Amrouch; T. Ebi and J. Henkel. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’14) 33, 5 (2014), pp. 677–690.
  2. Book contributions

    1. Impact of Negative Capacitance Field-Effect Transistor (NCFET) on Many-Core Systems. Hussam Amrouch; Martin Rapp; Sami Salamin and Jörg Henkel. In A Journey of Embedded and Cyber-Physical Systems. Springer, Cham, 2020, pp. 107.
    2. Analysis of Digital Logic Circuit Degradation. Subrat Misra; Thirunavukkarasu Vignesh; Jerin Joe; Hussam Amrouch; Jörg Henkel and Souvik Mahapatra. In Recent Advances in Negative Bias Temperature Instability, Souvik Mahapatra (ed.). Springer International Publishing, 2020.
    3. Aging Effects: From Physics to CAD. Hussam Amrouch; Heba Khdr and Jörg Henkel. In Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms: A Cross-layer Approach, William Fornaciari and Dimitrios Soudris (eds.). Springer International Publishing, 2019, pp. 43--69. DOI: https://doi.org/10.1007/978-3-319-91962-1_3
  3. Conference papers

    1. Time and Space Optimized Storage-based BIST under Multiple  Voltages and Variations. Hanieh Jafarzadeh; Florian Klemme; Hussam Amrouch; Sybille Hellebrand and Hans-Joachim Wunderlich. In To appear in the Proceedings of the IEEE European Test Symposium (ETS´24), The Hague, Netherland, 2024.
    2. Vmin Testing under Variations: Defect vs. Fault Coverage. Hanieh Jafarzadeh; Florian Klemme; Hussam Amrouch; Sybille Hellebrand and Hans-Joachim Wunderlich. In To appear in the Proceedings of the IEEE Latin-American Test Symposium (LATS’24), Maceio, Brazil, 2024.
    3. Frontiers in Edge AI with RISC-V: Hyperdimensional Computing vs. Quantized Neural Networks. Paul R. Genssler; Sandy A. Wasif; Miran Wael; Rodion Novkin and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’24), 2024.
    4. Machine Learning Unleashes Aging and Self-Heating Effects:  From Transistors to Full Processor. Hussam Amrouch; Victor van Santan; Javier Diaz Fortuny and Florian Klemme. In Proceedings of the IEEE 62nd International Reliability  Physics Symposium  (IRPS’24), Dallas, Texas, U.S., 2024.
    5. HDCircuit: Brain-inspired Hyperdimensional Computing for Circuit Recognition. Paul R. Genssler; Lilas Alrahis; Ozgur Sinanoglu and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’24), 2024.
    6. Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Mahta Mayahinia; Simon Thomann; Paul R. Genssler; Christopher Münch; Hussam Amrouch and Mehdi B. Tahoori. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’24), 2024.
    7. On the Severity of Self-Heating in FDSOI at Cryogenic  Temperatures: In-depth analysis from Transistors to Full Processor. Anirban Kar; Florian Klemme; Yogesh Chauhan. S and Hussam Amrouch. In Proceedings of the IEEE 62nd International Reliability  Physics Symposium  (IRPS’24), Dallas, Texas, U.S., 2024.
    8. DropHD: Technology/Algorithm Co-design for Reliable Energy-efficient NVM-based Hyperdimensional Computing under Voltage Scaling. Paul R. Genssler; Mahta Mayahinia; Simon Thomann; Mehdi Tahoori and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’24), 2024.
    9. Robust Pattern Generation for Small Delay Faults under  Process Variations. Hanieh Jafarzadeh; Florian Klemme; Jan Dennis Reimer; Zahra Paria Najafi-Haghi; Hussam Amrouch; Sybille Hellebrand and Hans-Joachim Wunderlich. In Proceedings of the IEEE International Test Conference (ITC’23), Disneyland, Anaheim, USA, 2023.
    10. Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level. Behnaz Ranjbar; Florian Klemme; Paul R. Genssler; Hussam Amrouch; Jinhyo Jung; Shail Dave; Hwisoo So; Kyongwoo Lee; Aviral Shrivastava; Ji-Yung Lin; Pieter Weckx; Subrat Mishra; Francky Catthoor; Dwaipayan Biswas and Akash Kumar. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’23), Antwerp, Belgium, 2023.
    11. Robust Resistive Open Defect Identification Using Machine Learning with Efficient Feature Selection. Zahra Paria Najafi-Haghi; Florian Klemme; Hanieh Jafarzadeh; Hussam Amrouch and Hans-Joachim Wunderlich. In Proceedings of the IEEE Conference on Design, Automation & Test in Europe (DATE’23), Antwerp, Belgium, 2023.
    12. Upheaving Self-Heating Effects from Transistor to Circuit Level using Conventional EDA Tool Flows. Florian Klemme; Sami Salamin and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’23), Antwerp, Belgium, 2023.
    13. HDGIM: Hyperdimensional Genome Sequence Matching on Unreliable Highly-Scaled FeFET. Hamza Errahmouni Barkam; Sanggeon Yun; Paul R. Genssler; Zhuowen Zou; Che-Kai Liu; Hussam Amrouch and Mohsen Imani. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’23), Antwerp, Belgium, 2023.
    14. ML to the Rescue: Reliability Estimation from Self-Heating and Aging in Transistors all the Way up Processors. Hussam Amrouch and Florian Klemme. In 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.
    15. Beyond von Neumann Era: Brain-inspired Hyperdimensional Computing to the Rescue. Hussam Amrouch; Paul R. Genssler; Mohsen Imani; Mariam Issa; Xun Jiao; Wegdan Ali Mohammadin; Gloria Sepanta and Ruixuan Wang. In 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.
    16. Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. Liu Liu; Shubham Kumar; Simon Thomann; Hussam Amrouch and X. Sharon Hu. In Proceedings of the 60th Annual Design Automation Conference (DAC’23), San Francisco, USA, 2023.
    17. Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths. van Santen Victor; Jose M. Gata-Romero; Juan Nunez; Roca Eli and Hussam Amrouch. In Proceedings of the IEEE 61st International Reliability Physics Symposium  (IRPS’23), Monterey, California, U.S., 2023.
    18. Design Automation for Cryogenic CMOS Circuits. Victor van Santen; Marcel Walter; Florian Klemme; Shivendra Parihar; Girish Pahwa; Yogesh Chauhan; Robert Wille and Hussam Amrouch. In Proceedings of the 60th Annual Design Automation Conference (DAC’23), San Francisco, USA, 2023.
    19. Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits. Victor van Santen; Florian Klemme; Paul R. Genssler and Hussam Amrouch. In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2023.
    20. Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. Hamza Errahmouni Barkam; Sanggeon Yun; Paul R. Genssler; Hanning Chen; Albi Mema; Andrew Ding; Hussam Amrouch and Mohsen Imani. In 2023 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2023.
    21. SyncTREE: Fast Timing Analysis for Integrated Circuit  Design through a Physics-informed Tree-based Graph Neural Network. Yuting Hu; Jiajie Li; Florian Klemme; Gi-Joon Nam; Tengfei Ma; Hussam Amrouch and Jinjun Xiong. In Thirty-seventh Conference on Neural Information Processing  Systems, 2023.
    22. Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning. Om Prakash; Rodion Novkin; Virinchi Surabhi Roy; Prashanth Krishnamur; Ramesh Karri; Farshad Khorrami and Hussam Amrouch. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’23), Monterey, California, USA, 2023.
    23. Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity. Simon Thomann; Albi Mema; Kai Ni and Hussam Amrouch. In Proceedings of the IEEE 61st International Reliability Physics Symposium  (IRPS’23), Monterey, California, U.S., 2023.
    24. Stress-resiliency of AI implementations on FPGAs. Jonas Krautter; Paul R. Genssler; Gloria Sepanta; Hussam Amrouch and Mehdi Tahoori. In International Conference on Field Programmable Logic and Applications (FPL), 2023.
    25. Tutorial: The Synergy of Hyperdimensional and In-memory Computing. Paul R. Genssler; Simon Thomann and Hussam Amrouch. In International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ’23 Companion), 2023.
    26. Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness. Om Prakahs; Kai Ni and Hussam Amrouch. In Proceedings of the IEEE 61st International Reliability Physics Symposium  (IRPS’23), Monterey, California, U.S., 2023.
    27. Compact Ferroelectric Programmable Majority Gate for Compute-in-Memory Applications. Shan Deng; Mahdi Benkhelifa; Simon Thomann; Zubair Faris; Zijian Zhao; Tzu-Jung Huang; Yixin Xu; Vijaykrishnan Narayanan; Kai Ni and Hussam Amrouch. In 68th Annual IEEE International Electron Devices Meeting (IEDM’22), 2022.
    28. AppGNN: Approximation-Aware Functional Reverse Engineering using Graph Neural Networks. Tim Buecher; Lilas Alrahis; Sergio Bampi; Guilherme Paim; Ozgur Sinanoglu and Hussam Amrouch. In IEEE/ACM 41st International Conference on Computer-Aided Design (ICCAD’22), 2022.
    29. Cross-layer FeFET Reliability Modeling towards Robust Hyperdimensional Computing. Shubham Kumar; Swetaki Chatterjee; Simon Thomann; Paul R Gemssler; Yogesh S. Chauhan and Hussam Amrouch. In IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC’22), 2022.
    30. Mitigating the Impact of Variability in NCFET-based Coupled-Oscillator Networks Applications. Juan Núñez; Simon Thomann; Hussam Amrouch and María J. Avedillo. In IEEE International Conference on Electronics, Circuits and Systems (ICECS’22), 2022.
    31. Brain-Inspired Hyperdimensional Computing for Ultra-Efficient Edge AI. Hussam Amrouch; Mohsen Imani; Xun Jiao; Yiannis Aloimonos; Cornelia Fermuller; Dehao Yuan; Dongning Ma; Hamza Errahmouni; Paul R. Genssler and Peter Sutor. In Proceedings of the 2022 International Conference on Hardware/Software Codesign and System Synthesis, 2022.
    32. Joint Modeling of Multi-Domain Ferroelectric and Distributed Channel towards Unveiling the Asymmetric Abrupt DC Current Jump in Ferroelectric FET. Simon Thomann; Kai Ni and Hussam Amrouch. In 48th  IEEE European Solid-state Circuits and Devices Conference (ESSDERC’22), 2022.
    33. Advanced Thermal Management using Approximate Computing and On-Chip Thermoelectric Cooling. Hammam Kattan and Hussam Amrouch. In IEEE Symposium on Integrated Circuits and Systems Design (SBCCI’22), 2022.
    34. A Novel Approach to Mitigate Power Side Channel Attacks for Emerging Negative Capacitance Transistor Technology. Shubham Kumar; Swetaki Chatterjee; Chetan Dabhi; Hussam Amrouch and Yogesh S Chauhan. In 20th IEEE International NEWCAS Conference, 2022.
    35. On Extracting Reliability Information from Speed Binning. Zahra Paria Najafi-Haghi; Florian Klemme; Hussam Amrouch and Hans-Joachim Wunderlich. In Proceedings of the 27th IEEE European Test Symposium (ETS’22), Barcelona, Spain, 2022. DOI: https://doi.org/10.1109/ETS54262.2022.9810443
    36. Mitigating the Complexity of Chip Designs with ML-based Cell Library Characterization. Florian Klemme and Hussam Amrouch. In Workshop on Intelligent Methods for Test and Reliability (IMTR’22), 2022.
    37. Intelligent Methods for Test and Reliability. Hussam Amrouch; Jens Anders; Steffen Becker; Maik Betka; Gerd Bleher; Peter Domanski; Nourhan Elhamawy; Thomas Ertl; Athanasios Gatzastras; Paul R. Genssler; Sebastian Hasler; Martin Heinrich; André van Hoorn; Hanieh Jafarzadeh; Ingmar Kallfass; Florian Klemme; Steffen Koch; Ralf Küsters; Andrés Lalama; Raphael Latty; Yiwen Liao; Natalia Lylina; Zahra Paria Najafi-Haghi; Dirk Pflüger; Ilia Polian; Jochen Rivoir; Matthias Sauer; Denis Schwachhofer; Steffen Templin; Christian Volmer; Stefan Wagner; Daniel Weiskopf; Hans-Joachim Wunderlich; Bin Yang and Martin Zimmermann. In Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, 2022, pp. 1–6.
    38. Machine Learning for Reliability-Aware, yet Confidential Standard Cell Characterization. Florian Klemme and Hussam Amrouch. In The 34th Workshop on Test Methods and Reliability of Circuits and Systems (TuZ’22), 2022.
    39. Design Close to the Edge in Advanced Technology using Machine  Learning and Brain-Inspired Algorithms. Hussam Amrouch; Florian Klemme and Paul R. Genssler. In 27th Asia and South Pacific Design Automation Conference  (ASP-DAC’22), 2022.
    40. Cleaved-Gate Ferroelectric FET for Reliable Multi-Level Cell Storage. Navjeet Bagga; Kai Ni; Nitanshu Chauhan; Sharon Hu and Hussam Amrouch. In Proceedings of the IEEE 60th International Reliability Physics Symposium  (IRPS’22), Dallas, U.S., 2022.
    41. Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications. Kai Ni; Simon Thomann; Zijian Zhao; Shan Deng and Hussam Amrouch. In Proceedings of the IEEE 60th International Reliability Physics Symposium  (IRPS’22), Dallas, U.S., 2022.
    42. Wafer Map Defect Identification Based on the Fusion of Pattern and Pixel Information. Yiwen Liao; Raphael Latty; Paul R. Genssler; Hussam Amrouch and Bin Yang. In IEEE International Test Conference (ITC’22), 2022.
    43. Asymmetric Double Gate Ferroelectric FET to Break the Tradeoff Between Thickness Scaling and Memory Window. Zhouhang Jiang; Yi Xiao; Swetaki Chatterjee; Halid Mulaosmanovic; Stefan Duenkel; Steven Soss; Sven Beyer; Rajiv Joshi; Yogesh S. Chauhan; Hussam Amrouch; Vijaykrishnan Narayanan and Kai Ni. In Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI’22), Hawaii, U.S., 2022.
    44. Novel FDSOI-Based Dynamic XNOR Logic for Ultra-Efficient High-Dense Computing. Shubham Kumar; Swetaki Chatterjee; Chetankumar Dabhi; Hussam Amrouch and Yogesh S. Chauhan. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’22), Austin Texas, USA, 2022.
    45. Machine Learning for Test, Diagnosis, Post-Silicon Validation and Yield Optimization. Hussam Amrouch; Krishnendu Chakrabarty; Dirk Pflüger; Ilia Polian; Matthias Sauer and Matteo Sonza Reorda. In 2022 IEEE European Test Symposium (ETS), 2022, pp. 1–6. DOI: https://doi.org/10.1109/ETS54262.2022.9810416
    46. Ferroelectric FET Threshold Voltage Optimization for Reliable In-Memory Computing. Kai Ni and Hussam Amrouch. In Proceedings of the IEEE 60th International Reliability Physics Symposium  (IRPS’22), Dallas, U.S., 2022.
    47. On the Channel Percolation in Ferroelectric FET Towards Proper Analog States Engineering. Kai Ni; Simon Thomann; Om Prakash; Zijian Zhao; Shan Deng and Hussam Amrouch. In 67th Annual IEEE International Electron Devices Meeting (IEDM’21), 2021.
    48. Positive/Negative Approximate Multipliers for DNN Accelerators. Ourania Spantidi; Georgios Zervakis; Hussam Amrouch; Jörg Henkel and Iraklis Anagnostopoulos. In IEEE/ACM 39th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    49. Binarized SNNs: Efficient and Error-Resilient Spiking Neural  Networks through Binarization. Ming-Liang Wei; Mikail Yayla; SY Ho; Chia-Lin Yang; Jian-Jia Chen and Hussam Amrouch. In IEEE/ACM 40th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    50. Machine Learning for Circuit Aging Estimation under Workload Dependency. Florian Klemme and Hussam Amrouch. In IEEE International Test Conference (ITC’21), 2021.
    51. Brain-Inspired Computing for Wafer Map Defect Pattern Classification. Paul R. Genssler and Hussam Amrouch. In IEEE International Test Conference (ITC’21), 2021.
    52. Variability Effects in FinFET and Negative Captitance FinFET technologies. Aniket Gupta; Nitanshu Chauhan; Om Prakash and Hussam Amrouch. In 19th IEEE International Conference on IC Design and Technology (ICICDT’21), 2021.
    53. On the Resiliency of NC-FinFET SRAMs against Variation: MFIS Structure. Anekit Gupta; Nitanshu Chauhan; Om Prakash and Hussam Amrouch. In International Conference on Simulation of Semiconductor Processes and Devices (SISPAD’21), 2021.
    54. Impact of Transistor Self-Heating  on Logic Gates. Victor M. van Santen; Linda Schillinger and Hussam Amrouch. In IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT’21), Virtual, 2021.
    55. Soft Errors in Negative Capacitance FDSOI SRAMs. Govind Bajpai; Aniket Gupta; Om Prakash; Yogesh Chauhan and Hussam Amrouch. In IEEE Electron Devices Technology & Manufacturing Conference    (EDTM’21), 2021.
    56. Reliability-Aware Quantization for Anti-Aging NPUs. Sami Salamin; Georgios Zervakis; Ourania Spantidi; Iraklis Anagnostopoulos; Jörg Henkel and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’21), Virtual Event, 2021.
    57. Machine Learning for Semiconductor Test and Reliability. Hussam Amrouch; Animesh Basak Chowdhury; Wentian Jin; Ramesh Karri; Khorrami Farshad; Prashanth Krishnamurthy; Ilia Polian; Victor M. van Santen; Benjamin Tan and Sheldon Tan. In IEEE VLSI Test Symposium (VTS’21), Virtual, 2021.
    58. Approximate Computing for ML: State-of-the-art, Challenges and  Visions. Georgios Zervakis; Hassaan Saadat; Hussam Amrouch; Andreas Gerstlauer; Sri Parameswaran and Joerg Henkel. In 26th Asia and South Pacific Design Automation Conference  (ASP-DAC’21), 2021.
    59. Cross-layer Design for Computing-in-Memory: From Devices,  Circuits, to Architectures and Applications. Hussam Amrouch; Xiaobo Sharon Hu; Mohsen Imani; Ann Franchesca Laguna; Michael Niemier; Simon Thomann; Xunzhao Yin and Cheng Zhuo. In 26th Asia and South Pacific Design Automation Conference  (ASP-DAC’21), 2021.
    60. Control Variate Approximation for DNN Accelerators. Georgios Zervakis; Ourania Spantidi; Iraklis Anagnostopoulos; Hussam Amrouch and Joerg Henkel. In Proceedings of the 58th Annual Design Automation Conference (DAC’21), San Francisco, USA, 2021.
    61. Traps Based Reliability Barrier on Performance and Revealing  Early Ageing in Negative Capacitance (NC) FET. Nitanshu Chauhan; Aniket Gupta; Govind Bajapi; Priynshi Singhal; Navjeet Bagga; Om Prakash; Shashank Banchhor and Hussam Amrouch. In Proceedings of the IEEE 59th International Reliability Physics Symposium  (IRPS’21), Dallas, Texas, U.S., Virtual, 2021.
    62. On the Reliability of In-Memory Computing: Impact of  Temperature on Ferroelectric TCAM. Simon Thomann; Chao Li; Cheng Zhuo; Om Prakash; Xunzhao Yin; X. Sharon Hu and Hussam Amrouch. In Proceedings of IEEE VLSI Test Symposium (VTS’21), Virtual, 2021.
    63. Toward Security Closure in the Face of Physical Migration Effects. Jens Lienig; Susann Rothe; Matthias Thiele; Mohammed Ashraf; Mohammed Nabeel; Nikhil Rangarajan; Hussam Amrouch; Ozgur Sinanoglu; Ramesh Karri and Johann Knechtel. In IEEE/ACM 40th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    64. Brain-Inspired Computing: Adventure from Beyond CMOS Technologies to Beyond von Neumann Architectures. Hussam Amrouch; Jian-Jia Chen; Kaushik Roy; Yuan Xie; Indranil Chakraborty; Wenqin Huangfu; Ling Liang; Fengbin Tu; Cheng Wang and Mikail Yayla. In IEEE/ACM 40th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    65. Towards reliable in-memory computing: From emerging devices to post-von-Neumann architectures. Hussam Amrouch; Nan Du; Anteneh Gebregiorgis; Said Hamdioui and Ilia Polian. In Proc. IFIP / IEEE Int’l Conf. on Very Large Scale Integration (VLSI-SoC). (Accepted), online, 2021.
    66. Robust Brain-Inspired Computing: On the Reliability of  Spiking Neural Network Using Emerging Non-Volatile Synapses. Ming-Ling Wei; Hussam Amrouch; Cheng-Lin Sung; Chia-Lin Yang; Khe-Chung Wang and Chin-Yuan Lu. In Proceedings of the IEEE 59th International Reliability Physics Symposium  (IRPS’21), Dallas, Texas, U.S., Virtual, 2021.
    67. FeFET and NCFET for Future DNNs: Visions and Opportunities. Yayla Mikail; Kuan-Hsun Chen; Christian Hakert; Georgios Zervakis; Jian-Jia Chen; Jörg Henkel and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’21), Virtual Event, 2021.
    68. Comprehensive Design-Space Exploration for NCFET-based SRAM  Arrays. Victor M van Santen; Simon Thomann; Yogesh S. Chauhan; Joerg Henkel and Hussam Amrouch. In Proceedings of IEEE VLSI Test Symposium (VTS’21), Virtual, 2021.
    69. Ferroelectric FET Technologies and Its Applications: From Device to System. Hussam Amrouch; Di Gao; Xiaobo Sharon Hu; Arman Kazemi; Ann Franchesca Laguna; Kai Ni; Michael Niemier; Simon Thomann; Xunzhao and Cheng Zhuo. In IEEE/ACM 40th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    70. Security Closure of Physical Layouts. Johann Knechtel; Jayanth Gopinath; Jitendra Bhandari; Mohammed Ashraf; Hussam Amrouch; Shekhar Borkar; Sung-Kyu Lim; Ozgur Sinanoglu and Ramesh Karri. In IEEE/ACM 40th International Conference on Computer-Aided Design (ICCAD’21), 2021.
    71. Transistor Self-Heating: The Rising Challenge for  Semiconductor Testing. Om Prakash; Chetan Dabhim; Yogesh Chauhan and Hussam Amrouch. In Proceedings of IEEE VLSI Test Symposium (VTS’21), Virtual, 2021.
    72. Cell Library Characterization using Machine Learning for Design Technology Co-Optimization. Florian Klemme; Yogesh Chauhan; Joerg Henkel and Hussam Amrouch. In IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD’20), 2020.
    73. Modeling Emerging Technologies using Machine Learning:  Challenges and Opportunities. Florian Klemme; Jannik Prinz; Victor M. van Santen; Joerg Henkel and Hussam Amrouch. In IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD’20), 2020.
    74. BTI and HCI Degradation in a Complete 32X64 bit SRAM Array – including Sense Amplifiers and Write Drivers – under Processor Activity. Victor van Santen; Simon Thomann; C. Pasupuleti; P. Genssler; N. Gangwar; U. Sharma; J. Henkel; S. Mahapatra and H. Amrouch. In Proceedings of the IEEE 58th International Reliability Physics Symposium  (IRPS’20), Dallas, Texas, U.S., Dallas, Texas, 2020.
    75. Impact of NBTI Aging on Self-Heating in Nanowire FET. Om Prakash; S. Manhas; Jörg Henkel and Hussam Amrouch. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’20), Grenoble, France, 2020.
    76. Energy Optimization in NCFET-based Processors. Sami Salamin; Martin Rapp; Hussam Amrouch; Andreas Gerstlauer and Jörg Henkel. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’20), Grenoble, France, 2020.
    77. Impact of Interface Traps Induced Degradation on Negative Capacitance FinFET. Om Prakash; Aniket Gupta; Girish Pahwa; Jörg Henkel; Yogesh Chauhan and Hussam Amrouch. In IEEE Electron Devices Technology & Manufacturing Conference (EDTM’20), 2020.
    78. Machine Learning Based Online Full-Chip Heatmap Estimation. Sheriff Sadiqbatcha; Yue Zhao; Jinwei Zhang; Hussam Amrouch; Jörg Henkel and Sheldon Tan. In 25th Asia and South Pacific Design Automation Conference (ASP-DAC’20), 2020.
    79. NCFET to Rescue Technology Scaling: Opportunities and Challenges. Hussam Amrouch; Victor M. van Santen; Girish Pahwa; Yogesh Chauhan and Jörg Henkel. In 25th Asia and South Pacific Design Automation Conference (ASP-DAC’20), 2020.
    80. Impact of Self-Heating On Performance, Power and Reliability in FinFET Technology. Victor M. van Santen; Paul R. Genssler; Om Prakash; S. Thomann; Jörg Henkel and Hussam Amrouch. In 25th Asia and South Pacific Design Automation Conference (ASP-DAC’20, 2020.
    81. Temperature Dependence and Temperature-Aware Sensing in Ferroelectric FET. Aniket Gupta; Kai Ni; Om Prakash; X. Sharon Hu and Hussam Amrouch. In Proceedings of the IEEE 58th International Reliability Physics Symposium  (IRPS’20), Dallas, Texas, U.S., Dallas, Texas, 2020.
    82. Impact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET. Kai Ni; Aniket Gupta; Om Prakash; Simon Thomann; X. Sharon Hu and Hussam Amrouch. In Proceedings of the IEEE 58th International Reliability Physics Symposium  (IRPS’20), Dallas, Texas, U.S., Dallas, Texas, 2020.
    83. Impact of Radiation on Negative Capacitance FinFET. Govind Bajpai; Aniket Gupta; Om Prakash; Girish Pahwa; Jörg Henkel; Yogesh Chauhan and Hussam Amrouch. In Proceedings of the IEEE 58th International Reliability Physics Symposium  (IRPS’20), Dallas, Texas, U.S., Dallas, Texas, 2020.
    84. The Impact of Emerging Technologies on Architectures and System-level Management. Jörg Henkel; Hussam Amrouch; Martin Rapp; Sami Salamin; Dayane Reis; Di Gao; Xunzhao Yin; Michael Niemier; Cheng Zhuo; Hu X. Sharon; Hsiang-Yun Cheng and Chia-Lin Yang. In IEEE/ACM 38th International Conference on Computer-Aided Design (ICCAD’19), 2019.
    85. NCFET-Aware Voltage Scaling. Sami Salamin; Martin Rapp; Hussam Amrouch; Girish Pahwa; Yogesh S. Chauhan and Jörg Henkel. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED’19), 2019.
    86. Performance, Power and Cooling Trade-Offs with NCFET-based Many-Cores. Martin Rapp; Sami Salamin; Hussam Amrouch; Girish Pahwa; Yogesh S. Chauhan and Jörg Henkel. In Proceedings of the 56th Annual Design Automation Conference (DAC’19), Las Vegas, USA, 2019.
    87. Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging. Sheriff Sadiqbatcha; Hengyang Zhao; Hussam Amrouch; Jörg Henkel and Sheldon Tan. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’19), Florence, Italy, 2019.
    88. Impact of NBTI on Increasing the Susceptibility of FinFET to Radiation. Frank Sill Torres; Hussam Amrouch; Jörg Henkel and Rolf Drechsler. In Proceedings of the IEEE 56th International Reliability Physics Symposium  (IRPS’19), Las Vegas, USA, 2019.
    89. Rebirth-FTL: Lifetime optimization via Approximate Storage for NAND Flash. Lei Han; Hussam Amrouch; Zili Shao and Jörg Henkel. In IEEE Non-Volatile Memory Systems and Applications Symposium  (NVMSA’19), Hangzhou, China, 2019.
    90. Aging Gracefully with Approximation. Jongho Kim; Heesu Kim; Hussam Amrouch; Jörg Henkel; Andreas Gerstlauer and Kiyoung Choi. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’19), Las Vegas, USA, 2019.
    91. Reliability Challenges with Self-Heating in FinFET Technology. H. Amrouch; V. M. van Santen; O. Prakash; H. Kattan; S. Salamin; S. Thomann and J. Henkel. In IEEE 25th International Symposium on On-Line Testing And Robust System Design (IOLTS’19), 2019.
    92. Selecting the Optimal Energy Point in Near-Threshold Computing. Sami Salamin; Hussam Amrouch and Jörg Henkel. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’19), Florence, Italy, 2019.
    93. Voltage Adaptation Under Temperature Variation. H. Amrouch; B. Khaleghi and J. Henkel. In 2018 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD’18), 2018, pp. 57–60.
    94. Reliability Estimations of Large Circuits in Massively-Parallel GPU-SPICE. V. M. van Santen; H. Amrouch and J. Henkel. In IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS’18), 2018, pp. 143–146.
    95. Aging-constrained Performance Optimization for Multi Cores. Heba Khdr; Hussam Amrouch and Jürg Henkel. In Proceedings of the 55th Annual Design Automation Conference (DAC’18), San Francisco, California, 2018, pp. 63:1--63:6.
    96. Dynamic Resource Management for Heterogeneous Many-cores. Jörg Henkel; Jürgen Teich; Stefan Wildermann and Hussam Amrouch. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’18), San Diego, California, 2018, pp. 60:1--60:6.
    97. Estimating and Optimizing BTI Aging Effects: From Physics to CAD. Hussam Amrouch; Victor M. van Santen and Jörg Henkel. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’18), San Diego, California, 2018, pp. 125:1--125:6.
    98. Trading Off Temperature Guardbands via Adaptive Approximations. B. Boroujerdian; H. Amrouch; J. Henkel and A. Gerstlauer. In 2018 IEEE 36th International Conference on Computer Design (ICCD’18), 2018, pp. 202–209.
    99. Weighted time lag plot defect parameter extraction and GPU-based BTI modeling for BTI variability. V. M. van Santen; J. Diaz-Fortuny; H. Amrouch; J. Martin-Martinez; R. Rodriguez; R. Castro-Lopez; E. Roca; F. V. Fernandez; J. Henkel and M. Nafria. In IEEE International Reliability Physics Symposium (IRPS’18), 2018, pp. P-CR.6-1-P-CR.6-6.
    100. Hardware and software innovations in energy-efficient system-reliability monitoring. V. Tenentes; C. Leech; G. M. Bragg; G. Merrett; B. M. Al-Hashimi; H. Amrouch; J. Henkel and S. Das. In 2017 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT’17), 2017, pp. 1–5.
    101. Towards aging-induced approximations. H. Amrouch; B. Khaleghi; A. Gerstlauer and J. Henkel. In 54th ACM/EDAC/IEEE Design Automation Conference (DAC’17), 2017, pp. 1–6.
    102. Impact of BTI on dynamic and static power: From the physical to circuit level. H. Amrouch; S. Mishra; V. van Santen; S. Mahapatra and J. Henkel. In 017 IEEE International Reliability Physics Symposium (IRPS’17), 2017, pp. CR-3.1-CR-3.6.
    103. Ultra-low power and dependability for IoT devices (Invited paper for IoT technologies). J. Henkel; S. Pagani; H. Amrouch; L. Bauer and F. Samie. In Design, Automation Test in Europe Conference Exhibition (DATE’17), 2017, pp. 954–959.
    104. Containing guardbands. H. Amrouch and J. Henkel. In 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17), 2017, pp. 537–542.
    105. Special session: emerging (Un-)reliability based security threats and mitigations for embedded systems. H. Amrouch; P. Krishnamurthy; N. Patel; J. Henkel; R. Karri and F. Khorrami. In International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES’17), 2017, pp. 1–10.
    106. Optimizing Temperature Guardbands. Hussam Amrouch; Behnam Khaleghi and Jörg Henkel. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE’17), Lausanne, Switzerland, 2017, pp. 175--180.
    107. Evaluating and Mitigating Degradation Effects in Multimedia Circuits. Hussam Amrouch and Jörg Henkel. In Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia’17), Seoul, Republic of Korea, 2017, pp. 61--67.
    108. Designing reliable, yet energy-efficient guardbands. J. Henkel and H. Amrouch. In IEEE International Conference on Electronics, Circuits and Systems (ICECS’16), 2016, pp. 540–543.
    109. Power and thermal management in massive multicore chips: Theoretical foundation meets architectural innovation and resource allocation. P. Bogdan; P. P. Pande; H. Amrouch; M. Shafique and J. Henkel. In 2016 International Conference on Compliers, Architectures, and Sythesis  of Embedded Systems (CASES’16), 2016, pp. 1–2.
    110. Stress-aware routing to mitigate aging effects in SRAM-based FPGAs. B. Khaleghi; B. Omidi; H. Amrouch; J. Henkel and H. Asadi. In 26th International Conference on Field Programmable Logic and Applications (FPL’16), 2016, pp. 1–8.
    111. Aging-aware voltage scaling. V. M. van Santen; H. Amrouch; N. Parihar; S. Mahapatra and J. Henkel. In 2016 Design, Automation Test in Europe Conference Exhibition (DATE’16), 2016, pp. 576–581.
    112. Designing guardbands for instantaneous aging effects. V. M. van Santen; H. Amrouch; J. Martin-Martinez; M. Nafria and J. Henkel. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC’16), 2016, pp. 1–6, redHiPEAC Paper Award.
    113. Reliability-aware design to suppress aging. H. Amrouch; B. Khaleghi; A. Gerstlauer and J. Henkel. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC’16), 2016, pp. 1–6, redHiPEAC Paper Award.
    114. Improving Mobile Gaming Performance Through Cooperative CPU-GPU Thermal Management. Alok Prakash; Hussam Amrouch; Muhammad Shafique; Tulika Mitra and Jörg Henkel. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC’16), Austin, Texas, 2016, pp. 47:1--47:6.
    115. Reliability degradation in the scope of aging -- From physical to system level. H. Amrouch and J. Henkel. In 10th International Design Test Symposium (IDT’15), 2015, pp. 9–12.
    116. Lucid infrared thermography of thermally-constrained processors. H. Amrouch and J. Henkel. In 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED’15), 2015, pp. 347–352.
    117. Connecting the physical and application level towards grasping aging effects. H. Amrouch; J. Martin-Martinez; V. M. van Santen; M. Moras; R. Rodriguez; M. Nafria and J. Henkel. In IEEE International Reliability Physics Symposium (IRPS’15), 2015, pp. 3D.1.1-3D.1.8.
    118. Towards interdependencies of aging mechanisms. H. Amrouch; V. M. van Santen; T. Ebi; V. Wenzel and J. Henkel. In 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’14), 2014, pp. 478–485.
    119. hevcDTM: Application-driven Dynamic Thermal Management for High Efficiency Video Coding. D. Palomino; M. Shafique; H. Amrouch; A. Susin and J. Henkel. In Design, Automation Test in Europe Conference Exhibition (DATE’14), 2014, pp. 1–4.
    120. mDTM: Multi-objective dynamic thermal management for on-chip systems. H. Khdr; T. Ebi; M. Shafique; H. Amrouch and J. H. Karlsruhe. In Design, Automation Test in Europe Conference Exhibition (DATE’14), 2014, pp. 1–6.
    121. Analyzing the thermal hotspots in FPGA-based embedded systems. H. Amrouch; T. Ebi; J. Schneider; S. Parameswaran and J. Henkel. In 23rd International Conference on Field programmable Logic and Applications (FPL’13), 2013, pp. 1–4.
    122. Stress balancing to mitigate NBTI effects in register files. H. Amrouch; T. Ebi and J. Henkel. In 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’13), 2013, pp. 1–10.
    123. Thermal management for dependable on-chip systems. J. Henkel; T. Ebi; H. Amrouch and H. Khdr. In 18th Asia and South Pacific Design Automation Conference (ASP-DAC’13), 2013, pp. 113–118.
    124. Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits. A. Amouri; H. Amrouch; T. Ebi; J. Henkel and M. Tahoori. In IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM’13), 2013, pp. 57–60, redHiPEAC Paper Award.
    125. COOL: Control-based Optimization of Load-balancing for Thermal Behavior. Thomas Ebi; Hussam Amrouch and Jörg Henkel. In Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS’12), Tampere, Finland, 2012, pp. 255--264.
    126. Self-Immunity Technique to Improve Register File Integrity Against Soft Errors. H. Amrouch and J. Henkel. In 2011 24th Internatioal Conference on VLSI Design (VLSID’11), 2011, pp. 189–194.
  1. 14th International Workshop on Boolean Problems (IWSBP), Keynote, virtual event in Sep 2020
  2. Peking University, Beijing, China in Jan 2020.
  3. University of Nebraska–Lincoln, Nebraska, USA in Feb 2020.
  4. The 11th Latin American Electron Devices Conference (LAEDC), Costa Rica in Feb, 2020.
  5. International Conference on Modelling, Simulation & Intelligent Computing (MoSICom), Keynote, Dubai in Jan 2020.
  6. EE Distinguished Speakers Seminar at EPFL, Lausanne, Switzerland in Dec 2019.
  7. The 32nd Symposium on Integrated Circuits and Systems Design (SBCCI) in August 2019.
  8. Federal University of Rio Grande do Sul (UFRGS), Brazil in April 2019.
  9. National Chiao Tung University, Hsinchu, Taiwan in March 2019.
  10. Macronix Company (semiconductor foundry), Taipei, Taiwan in March 2019.
  11. Tsinghua University, Beijing, China in March 2019.
  12. New York University (NYU), Abu Dhabi in UAE, February 2019.
  13. University of New South Wales, Sydney (UNSW), Australia in February 2019.
  14. Silvaco, Inc., Grenoble, France in April 2018.
  15. Korea University, Seoul in August 2018.
  16. Seoul National University in August 2017.
  1. “Steep-Slope Transistors: Opportunities and Challenges - Connecting Device Physics to System-level Management –” in Design Automation Conference (DAC. Together with Prof. Sayeef Salahuddin, University of California Berkeley, USA. Year: July 2020.
  2. “A Journey from Devices to Systems with FeFETs and NCFETs” in 25th Asia and South Pacific Design Automation Conference (ASP-DAC). Together with Prof. Sharon Hu from the University of Notre Dame, USA. Year: January 2020.
  3. “Design for Reliability on in the Nano-CMOS Era” in the 32nd Symposium on Integrated Circuits and Systems Design (SBCCI), Sao Paulo, Brazil. Full tutorial alone. Year: August 2019.
  4. “Negative Capacitance Transistor (NCFET) to Rescue Technology Scaling: From Physics to System Level” in the 34th South Symposium of Microelectronics and 21st South School of Microelectronics, Pelotas, Brazil. Full tutorial alone. Year: April 2019.
  5. “Design for Reliability in the Nano-CMOS Era: New Holistic Methodologies for Reliability Modeling and Optimization” in the International Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo, Japan. Together with Prof. Sheldon Tan from University of California, Riverside. Year: January 2019.
  6. “Design for Reliability: From Devices to Systems” in the 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Prague, Czech Republic. Together with Prof. Montserrat Nafria from Universitat Autonoma de Barcelona. Year: July 2018.
  7. “Reliability: From Physics to CAD” in Design, Automation and Test in Europe (DATE), Dresden, Germany. Together with Prof. Montserrat Nafria from Universitat Autonoma de Barcelona and Prof. Norbert Wehn from TU Kaiserslautern. Year: March 2018.
To the top of the page