ACCESS

ACCESS: Verification, Test, and Diagnosis of Advanced Scan Infrastructures

seit 08.2014, DFG-Project: WU 245/17-1, WU 245/17-2

Projektbeschreibung

Eine detaillierte Projektbeschreibung finden Sie auf unserer englischen Seite.

 

ZUR ENGLISCHEN SEITE

Publikationen

  1. 2023

    1. Synthesis of IJTAG Networks for Multi-Power Domain Systems on Chips. Payam Habiby; Natalia Lylina; Chih-Hao Wang; Hans-Joachim Wunderlich; Sebastian Huhn and Rolf Drechsler. In Proceedings of the 28th IEEE European Test Symposium 2023  (ETS’ 23), Venice, Italy, 2023, pp. 6.
    2. A Complete Design-for-Test Scheme for Reconfigurable Scan Networks. Natalia Lylina; Chih-Hao Wang and Hans-Joachim Wunderlich. Journal of Electronic Testing: Theory and Applications (JETTA) (January 2023), pp. 1--19. DOI: https://doi.org/10.1007/s10836-022-06038-3
  2. 2022

    1. Online Periodic Test of Reconfigurable Scan Networks. Natalia Lylina; Chih-Hao Wang and Hans-Joachim Wunderlich. In Proceedings of the IEEE Asian Test Symposium, Taichung, Taiwan, 2022, pp. 1--6. DOI: https://doi.org/10.1109/ATS56056.2022.00026
    2. Robust Reconfigurable Scan Networks. Natalia Lylina; Chih-Hao Wang and Hans-Joachim Wunderlich. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’22), Antwerp, Belgium, 2022, pp. 1--4. DOI: https://doi.org/10.23919/DATE54114.2022.9774770
    3. SCAR: Security Compliance Analysis and Resynthesis of Reconfigurable Scan Networks. Natalia Lylina; Chih-Hao Wang and Hans-Joachim Wunderlich. Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) (2022), pp. 1--14. DOI: https://doi.org/10.1109/TCAD.2022.3158250
  3. 2021

    1. Testability-Enhancing Resynthesis of Reconfigurable Scan Networks. Natalia Lylina; Chih-Hao Wang and Hans-Joachim Wunderlich. In Proceedings of the IEEE International Test Conference (ITC’21), Virtual, 2021, pp. 1--10. DOI: https://doi.org/10.1109/ITC50571.2021.00009
    2. Concurrent Test of Reconfigurable Scan Networks for Self-Aware Systems. Chih-Hao Wang; Natalia Lylina; Ahmed Atteya; Tong-Yu Hsieh and Hans-Joachim Wunderlich. In Proceedings of the IEEE International Symposium on On-Line Testing And Robust System Design (IOLTS’21), Virtual, 2021, pp. 1--7. DOI: https://doi.org/10.1109/IOLTS52814.2021.9486710
    3. A Hybrid Protection Scheme for Reconfigurable Scan Networks. Natalia Lylina; Ahmed Atteya and Hans-Joachim Wunderlich. In Proceedings of the IEEE VLSI Test Symposium (VTS’21), Virtual, 2021, pp. 1--7. DOI: https://doi.org/10.1109/VTS50974.2021.9441029
  4. 2020

    1. Security Preserving Integration and Resynthesis of Reconfigurable Scan Networks. Natalia Lylina; Ahmed Atteya; Chih-Hao Wang and Hans-Joachim Wunderlich. In Proceedings of the IEEE International Test Conference (ITC’20), Washington DC, USA, 2020. DOI: https://doi.org/10.1109/ITC44778.2020.9325227
    2. Synthesis of Fault-Tolerant Reconfigurable Scan Networks. Sebastian Brandhofer; Michael A. Kochte and Hans-Joachim Wunderlich. In Proceedings of the ACM/IEEE Conference on Design, Automation Test in Europe (DATE’20), Grenoble, France, 2020, pp. 1--6. DOI: https://doi.org/10.23919/DATE48585.2020.9116525
  5. 2018

    1. Self-Test and Diagnosis for Self-Aware Systems. Michael A. Kochte and Hans-Joachim Wunderlich. IEEE Design & Test 35, 5 (October 2018), pp. 7--18. DOI: https://doi.org/10.1109/MDAT.2017.2762903
    2. Self-Test and Diagnosis for Self-Aware Systems. Michael A. Kochte and Hans-Joachim Wunderlich. IEEE Design & Test 35, 5 (October 2018), pp. 7--18. DOI: https://doi.org/10.1109/MDAT.2017.2762903
  6. 2017

    1. Structure-oriented Test of Reconfigurable Scan Networks. Dominik Ull; Michael A. Kochte and Hans-Joachim Wunderlich. In Proceedings of the 26th IEEE Asian Test Symposium (ATS’17), Taipei, Taiwan, 2017. DOI: https://doi.org/10.1109/ATS.2017.34
    2. Structure-oriented Test of Reconfigurable Scan Networks. Dominik Ull; Michael A. Kochte and Hans-Joachim Wunderlich. In Proceedings of the 26th IEEE Asian Test Symposium (ATS’17), Taipei, Taiwan, 2017. DOI: https://doi.org/10.1109/ATS.2017.34
  7. 2016

    1. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In Proceedings of the 25th IEEE Asian Test Symposium (ATS’16), Hiroshima, Japan, 2016, pp. 215--220. DOI: https://doi.org/10.1109/ATS.2016.56
    2. Test Strategies for Reconfigurable Scan Networks. Michael A. Kochte; Rafal Baranowski; Marcel Schaal and Hans-Joachim Wunderlich. In Proceedings of the 25th IEEE Asian Test Symposium (ATS’16), Hiroshima, Japan, 2016, pp. 113--118. DOI: https://doi.org/10.1109/ATS.2016.35
    3. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In Proceedings of the 25th IEEE Asian Test Symposium (ATS’16), Hiroshima, Japan, 2016, pp. 215--220. DOI: https://doi.org/10.1109/ATS.2016.56
    4. Test Strategies for Reconfigurable Scan Networks. Michael A. Kochte; Rafal Baranowski; Marcel Schaal and Hans-Joachim Wunderlich. In Proceedings of the 25th IEEE Asian Test Symposium (ATS’16), Hiroshima, Japan, 2016, pp. 113--118. DOI: https://doi.org/10.1109/ATS.2016.35
    5. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In First International Test Standards Application Workshop (TESTA), co-located with IEEE European Test Symposium, Amsterdam, The Netherlands, 2016.
    6. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In First International Test Standards Application Workshop (TESTA), co-located with IEEE European Test Symposium, Amsterdam, The Netherlands, 2016.
    7. Mixed 01X-RSL-Encoding for Fast and Accurate ATPG with Unknowns. Dominik Erb; Karsten Scheibler; Michael A. Kochte; Matthias Sauer; Hans-Joachim Wunderlich and Bernd Becker. In Proceedings of the 21st Asia and South Pacific  Design Automation Conference (ASP-DAC’16), Macao SAR, China, pp. 749–754. DOI: https://doi.org/10.1109/ASPDAC.2016.7428101
    8. Mixed 01X-RSL-Encoding for Fast and Accurate ATPG with Unknowns. Dominik Erb; Karsten Scheibler; Michael A. Kochte; Matthias Sauer; Hans-Joachim Wunderlich and Bernd Becker. In Proceedings of the 21st Asia and South Pacific  Design Automation Conference (ASP-DAC’16), Macao SAR, China, pp. 749–754. DOI: https://doi.org/10.1109/ASPDAC.2016.7428101
  8. 2015

    1. Reconfigurable Scan Networks: Modeling, Verification, and  Optimal Pattern Generation. Rafal Baranowski; Michael A. Kochte and Hans-Joachim Wunderlich. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20, 2 (February 2015), pp. 30:1--30:27. DOI: https://doi.org/10.1145/2699863
    2. Accurate QBF-based Test Pattern Generation in Presence of Unknown Values. Dominik Erb; Michael A. Kochte; Sven Reimer; Matthias Sauer; Hans-Joachim Wunderlich and Bernd Becker. IEEE Transactions on Computer-Aided Design of Integrated  Circuits and Systems (TCAD) 34, 12 (December 2015), pp. 2025--2038. DOI: https://doi.org/10.1109/TCAD.2015.2440315
    3. Reconfigurable Scan Networks: Modeling, Verification, and  Optimal Pattern Generation. Rafal Baranowski; Michael A. Kochte and Hans-Joachim Wunderlich. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20, 2 (February 2015), pp. 30:1--30:27. DOI: https://doi.org/10.1145/2699863
    4. Accurate QBF-based Test Pattern Generation in Presence of Unknown Values. Dominik Erb; Michael A. Kochte; Sven Reimer; Matthias Sauer; Hans-Joachim Wunderlich and Bernd Becker. IEEE Transactions on Computer-Aided Design of Integrated  Circuits and Systems (TCAD) 34, 12 (December 2015), pp. 2025--2038. DOI: https://doi.org/10.1109/TCAD.2015.2440315

Workshopbeiträge

  1. 2016

    1. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In First International Test Standards Application Workshop (TESTA), co-located with IEEE European Test Symposium, Amsterdam, The Netherlands, 2016.
    2. Autonomous Testing for 3D-ICs with IEEE Std. 1687. Jin-Cun Ye; Michael A. Kochte; Kuen-Jong Lee and Hans-Joachim Wunderlich. In First International Test Standards Application Workshop (TESTA), co-located with IEEE European Test Symposium, Amsterdam, The Netherlands, 2016.

Kontakt

Dieses Bild zeigt Hans-Joachim Wunderlich

Hans-Joachim Wunderlich

Prof. Dr. rer. nat. habil.

Forschungsgruppe Rechnerarchitektur,
im Ruhestand

Zum Seitenanfang